Tagged as:

cocotb

OPEN FPGA, OPEN SOURCE TOOLS

TESTING OPEN SOURCE USB IP CORES WITH PYTHON AND COCOTB

Published:

USB testing diagram USB is often a daunting topic for developers, and implementing support for it from scratch is a time consuming task. When the expected result is more complicated than a USB-to-serial bridge, the solution would be to either...
OPEN SOURCE TOOLS

OPEN SOURCE VERILOG SIMULATION WITH COCOTB AND VERILATOR

Published:

Test output Cocotb One of the great open source tools in our arsenal that we’ve grown very fond of throughout the years is Cocotb, a very clever framework for simulating HDL (VHDL, Verilog or SystemVerilog) designs. Cocotb is maintained...
NEWER
CLOSE 

TAGS