Tagged as:

openroad

OPEN ASICS, OPEN SOURCE TOOLS

SPEEDING UP OPENROAD FOR FAST TURNAROUND DESIGN FEEDBACK

Published:

OpenROAD optimizations illustration The OpenROAD project provides an open source ASIC toolchain that reduces the entry barriers to the field of hardware development and allows fast-turnaround feedback about your design, helping increase productivity of silicon...
OPEN ASICS, OPEN SOURCE TOOLS

ADAPTING AN OPEN SOURCE CAN CORE FOR A CUSTOM ASIC

Published:

Open source tools and workflows are becoming increasingly capable in the field of ASIC and FPGA development and implementation, especially in niche applications not addressed by the mainstream, proprietary alternatives. Open...
CLOSE 

TAGS