Tagged as:

verification

OPEN SOURCE TOOLS, OPEN ASICS

PROGRESS IN OPEN SOURCE SYSTEMVERILOG / UVM SUPPORT IN VERILATOR

Published:

Verilator and UVM illustration Verilator is a shining example of a widely-accepted open source tool which provides state-of-the-art results in the ASIC design space. It is commonly used for simulation and testing, but originally, due to the lack of capability...
OPEN SOURCE TOOLS, OPEN ASICS, OPEN FPGA

SCALING VERILATOR FOR VERY LARGE DESIGNS

Published:

Improving Verilator illustration Verilator is a fast, open source simulator widely used in the ASIC and FPGA ecosystem, offering state-of-the-art (or better) results in contexts otherwise dominated by proprietary offerings. Its open source nature and the promise...
OPEN ASICS, OPEN SOURCE TOOLS

OPEN SOURCE TILELINK TO AHB BRIDGES WITH DEDICATED COCOTB EXTENSIONS

Published:

Bridging AHB and TL illustration Antmicro uses open source to introduce pragmatic innovation into areas which have traditionally been heavily reliant on proprietary technologies such as ASIC and FPGA. Due to high complexity and long design cycles, testing...
OPEN FPGA, OPEN ASICS

DYNAMIC SCHEDULING IN VERILATOR - MILESTONE TOWARDS OPEN SOURCE UVM

Published:

Dynamic scheduling in Verilator UVM is a verification methodology traditionally used in chip design which has historically been missing from the open source landscape of verification-focused tooling. While new, open source approaches to verification have...
NEWER
CLOSE 

TAGS